Questions marquées «hdl»

11
FPGA: compter ou compter?

J'apprends à utiliser un FPGA (carte de développement Papilio, qui a un xilinx spartan3e, en utilisant vhdl). J'ai besoin de diviser une impulsion entrante par un nombre (codé en dur). Je peux voir 3 options - à peu près, comme pseudocode (en utilisant 10 comptes comme exemple): Initialiser à 0,...

10
Pipeline MD5 VHDL

J'essaie de mettre en œuvre un pipeline MD5 en 3 étapes selon ce lien . En particulier les algorithmes de la page 31. Il existe également un autre document qui décrit le transfert de données. Cela se fait dans un FPGA (Terasic DE2-115). Il n'y a aucun schéma dans ce projet, seulement du code VHDL....

10
Qu'est-ce qu'un «demi-verrou» dans un FPGA?

Dans un article sur les FPGA durs aux radiations, je suis tombé sur cette phrase: "Une autre préoccupation concernant les appareils Virtex concerne les demi-verrous. Les demi-verrous sont parfois utilisés dans ces appareils pour les constantes internes, car cela est plus efficace que d'utiliser la...

9
SystemC vs HDLs

Je suis actuellement impliqué dans un projet universitaire visant à implémenter un processeur d'un ensemble d'instructions existant. L'idée est qu'à la fin du projet je devrais être capable de synthétiser cette conception et de l'exécuter dans un FPGA. Tout va bien jusqu'à présent, j'ai commencé à...

9
Simuler un banc d'essai simple avec un noyau ROM synthétisé

Je suis complètement nouveau dans le monde des FPGA et j'ai pensé commencer par un projet très simple: un décodeur 4 bits à 7 segments. La première version que j'ai écrite uniquement en VHDL (c'est fondamentalement une seule combinatoire select, aucune horloge nécessaire) et elle semble...

9
Appuyer sur les mêmes lignes de touches en même temps

Je conçois un clavier en VHDL. Tout fonctionne bien lorsqu'une seule touche est enfoncée. J'analyse chaque colonne pour une pression de touche dans une machine d'état et lorsqu'aucune touche n'est enfoncée, ce qui est la condition que pin4pin6pin7pin2 = "0000"je passe à l'état suivant pour analyser...

9
Simuler la conception FPGA sans avoir le matériel réel

Je suis nouveau sur FPGA et je suis actuellement en classe HDL (Verilog en particulier). J'ai des connaissances suffisantes en conception numérique comme les circuits combinatoires et séquentiels. Je veux créer un projet similaire à celui présenté dans cette vidéo YouTube . Je sais également que...

9
Quand utiliser STD_LOGIC sur BIT dans VHDL

Quelle est la différence entre l'utilisation: ENTITY MyDemo is PORT(X: IN STD_LOGIC; F: OUT STD_LOGIC ); END MyDemo; et ENTITY MyDemo is PORT(X: IN BIT; F: OUT BIT ); END MyDemo; Quelles sont les limites de l'utilisation de BIT sur STD_LOGIC et vice-versa? Sont-ils totalement interchangeables? Je...

9
Comment éviter les verrous pendant la synthèse

Je veux concevoir un bloc de logique combinatoire à l'aide de VHDL, mais parfois le résultat synthétisé contient un verrou involontaire. Quelles directives de codage dois-je suivre pour éviter que le synthétiseur infère des verrous? Exemple: dans un petit segment de code, dois-je utiliser des...