Comment utiliser Verilog HDL sur Ubuntu?

8

J'ai essayé de commencer un petit cours avec quelques exemples dans Verilog Hdl et après avoir passé beaucoup de temps à chercher le meilleur simulateur ou IDE pour m'exercer avec quelques exemples, j'ai échoué à comprendre comment l'utiliser sur Ubuntu 12.04

J'ai donc deux questions:

  1. Comment utiliser Verilog sur Ubuntu 12.04?

  2. Quel est le meilleur simulateur (ou IDE) disponible pour Linux?

amrro
la source

Réponses:

3

Vous pouvez télécharger le simulateur Linux Verilog de SynpatiCAD qui est compatible avec Ubuntu. Il comprend un simulateur de ligne de commande et un IDE graphique. Après l'avoir installé, vous pouvez exécuter l'outil et demander une licence gratuite de 6 mois pour le simulateur.

Dan Notestein
la source
5

Est-ce que ce message aide: Verilog et VHDL sur Linux (Ubuntu) ?

Il recommande une utilisation combinée d' Icare Verilog ( iverilogdans les référentiels) pour la simulation Verilog, de GHDL pour la simulation VHDL et de GTKWave ( gtkwavedans les référentiels) pour l'affichage des formes d'onde.

Si vous voulez un éditeur Verilog basé sur Eclipse, essayez veditor .

Tom Regner
la source
mais le Veditor ne s'ouvre pas, je l'ouvre avec JDK6 et je ne réponds pas ou il existe une autre façon de le lancer
amrro
Ce n'est pas un produit autonome, mais un plugin Eclipse; installez eclipse, puis suivez les instructions ici: sourceforge.net/apps/mediawiki/veditor/…
Tom Regner
ok je veux une autre faveur, pourriez-vous mettre à jour votre réponse en installant icarus verilog, ican't install it
amrro
ghdl me donne cette erreur ... Le paquet ghdl n'est pas disponible, mais est référencé par un autre paquet. Cela peut signifier que le package est manquant, a été obsolète ou n'est disponible qu'à partir d'une autre source E: le package 'ghdl' n'a pas de candidat à l'installation
amrro
Ah - trouvé mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html ; en raison de problèmes de construction, ghdl est supprimé de debian ATM, et donc également dans ubuntu à partir du 12.04; vous voudrez peut-être essayer de le compiler vous-même (et de l'installer via checkinstall) - mais vous parler / écrire au-delà de ce que mon temps permet
Tom Regner
1

Vous pouvez également utiliser les environnements IDE pour le développement FPGA. Altera Quartus pour Altera (Intel) FPGA ou Xilinx ISE pour les appareils Xilinx. Ces environnements vous permettent d'écrire du code source VHDL et Verilog et incluent des simulateurs.

jotego
la source
Personnellement, j'utilise Quartus Prime, un assez bon IDE, bien que si vous voulez télécharger le code verilog sur le FPGA réel, vous devez modifier les règles udev pour que l'appareil soit reconnu.
Sergiy Kolodyazhnyy
1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

Pour voir si tout fonctionne correctement, faisons le bonjour de verilog.

nano hello.v

puis écrivez le code hello-world suivant

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

Compilez ensuite

iverilog hello.v -o hello

et enfin exécuter votre code

vvp hello
user10842694
la source
-1

Essayez cette commande dans une fenêtre de terminal:

sudo apt-get install gplcver
opérateur linux
la source
Cela ne répond pas à la question. Veuillez élaborer votre réponse. Qu'est-ce que gplcver? Comment l'utiliser?
Eric Carvalho