Comment définir un signal non signé dans VHDL

signal <SignalName> : unsigned (<NºBITS> Downto 0) : = <InitialValue>;
Heisenberg